PLASMA TECHNOLOGY DESIGNED FOR MAXIMUM PERFORMANCE

Premium plasma etch, deposition, and advanced packaging equipment for creating semiconductor devices that responsibly enhance the lives of people around the world.

Plasma-Therm Celebrates a Semicentennial of Empowering Innovation in the Semiconductor Industry

Over the past 50 years, Plasma-Therm has become a leading force in the emerging semiconductor market segment and continues to contribute directly to enhancing the human experience.

At Plasma-Therm®, we design and build configurable wafer processing platforms for standard and advanced processes used in microelectronics manufacturing. From etch and deposition applications to rapid thermal processing and plasma dicing, our systems keep pace with your needs and are engineered to provide outstanding performance time after time.

TECHNOLOGY

We continually improve our process system technology to deliver the best performance possible. 

MARKETS & APPLICATIONS

Our systems support etch, deposition, thermal, material modification and die singulation applications for existing and emerging microelectronics markets.

PRODUCTS

We continue to build our product portfolio to help you remove risk, increase efficiency, enhance capacity, and increase yield. 

WE’RE LOOKING FOR BRIGHT MINDS LIKE YOURS!

Join us in our quest to enhance the human experience.

Latest Blogs and News

UPCOMING EVENTS

NEED ASSISTANCE?

Let our experts help you customize our processes solutions for your applications.

Click to access the login or register cheese